Sudden! NVIDIA H800/A800 ban actually took effect early, but 4090 was unexpectedly exempted!

Click the Card below and follow the “CVer” public account

AI/CV heavy-duty information, delivered as soon as possible

Click to enter->[Computer Vision and Transformer] Communication Group

Reprinted from: Xinzhiyuan

[Introduction]The chip ban actually took effect 24 days in advance? The U.S. Department of Commerce stated that it will cancel the 30-day exemption period and take effect immediately from October 23. Meanwhile, according to the latest filing from Nvidia, the RTX 4090 is no longer affected.

Six days after the chip ban was issued, the U.S. government suddenly changed its mind and announced that the new regulations would take effect early, a full 24 days earlier than originally planned.

In other words, the United States withdrew the 30-day exemption period. From now on, China will no longer be able to obtain any Nvidia cutting-edge AI chips.

3d23e16190f17d752b8988343d28f49c.png

According to TMTpost media reports, Tencent, ByteDance and others purchased H800 in large quantities before the ban took effect, but they have not yet received the spot.

80c8bf34a08fca43257a67dd6377f40c.png

According to documents submitted by Nvidia to the U.S. Securities and Exchange Commission (SEC), the U.S. government notified Nvidia that the chip ban will be changed to take effect immediately on October 23. The chips involved are – H800, A800, H100, A100, and L40S.

And the RTX 4090 is no longer affected.

29c2a7f70c50ec910bc617b9eaff3f88.png

d2abdf28d6c8b656afb9582d63cc2cf5.png

Scan the QR code to join CVer Knowledge Planet,you can quickly learn the paper ideas from the latest top conferences and journalsand CV from entry to Proficient in information, as well as cutting-edge projects and applications!

52b5d96cbe2f4fd84c0998cd42196784.jpeg

Effective date rushed forward

Why did the US government rush to bring forward this ban?

e96e37d9803d67493d900131481b7658.png

At present, the U.S. Department of Commerce and AMD have not commented on this.

It is related to the computing power training of large domestic AI models, and many people in the industry are worried about this.

Faced with such a strict ban, what measures can our country take to circumvent it? What loopholes can be exploited when it comes to export controls?

Or, can our country achieve revolutionary algorithm innovation?

Yesterday, the well-known semiconductor analysis foreign media SemiAnalysis gave a long article analysis.

c54b850057ae1694987fcb07757942c7.png

AI chip “performance density” is the key

This chip ban is unimaginably strict and has blocked most of the loopholes.

The U.S. government has made it clear that high-end AI chips must be banned, unequivocally!

The previous test standards were a total bidirectional transfer rate of 600 GB/s and a total TOPS x bit length of 4800 or more.

This means that vendors can deal with this limitation by reducing the total bidirectional bandwidth of the chip while still providing good performance in real-world AI applications.

NVIDIA’s “special edition” H800 and A800 launched on the basis of H100 and A100 have shipped hundreds of thousands of units to the Chinese market.

be2aa2d748e941f9a4cc91907204cab2.png

This new chip ban removes bandwidth restrictions, which is equivalent to directly banning Nvidia’s A800 and H800, Intel’s Gaudi 2, Gaudi 3, AMD’s MI250X and MI300 and other chips in one fell swoop.

In addition to limiting the overall performance of the chip, the U.S. government has also plugged other loopholes.

For example, in AI supercomputing, each chip can be designed so that it does not exceed the performance threshold when used alone, but can exceed this limit when interconnected with high bandwidth.

Therefore, in this new ban, there is also a new performance density threshold limit. The absolute disable value is 5.92 and the permissible value is 3.2.

Performance density is TPP (Total Processing Performance) divided by chip area.

This prevents the shipment of chips that are smaller in size and appear to be lower in absolute computing power, but are still dense/efficient from a computing perspective.

cad467c0405ea8b0ec21f6f48f8b8133.png

All in all, the level of severity this time is jaw-dropping.

NVIDIA’s L40S has also been affected (some buyers only look at the TFLOPS specifications and expect this chip to achieve similar performance to the A100).

According to the “performance density” restriction, products such as AMD MI210, NVIDIA RTX 4090, L40, and even the weak L4 will be affected by the new regulations.

RTX 4090 was banned, causing a huge stir in the community – can’t even play games? ?

Some industry insiders have analyzed that if FP8 matrix multiplication + FP16 accumulation are considered at the same time, the computing power of 320 TFLOPS will even make RTX 4080 banned.

However, the SemiAnalysis author analyzed the ban in detail and concluded that 4090 should be able to obtain an export license and will not be banned.

97ceb132f9640eb593781dc6f7207a79.png

Can NVIDIA still create a “special edition”?

In the week since the ban update was released, many people have been concerned about whether Nvidia can circumvent the new ban and produce another “China Special Edition” chip.

To put it simply – you can’t!

Even with its new, streamlined design, Nvidia can’t completely circumvent these limitations.

Under the restrictions of density rules, Nvidia was forced to go all the way back to the 12nm process V100 in 2014.

They also can’t get around density rules by cramming in a bunch of blank silicon wafers, because the new ban has blocked that path.

This new ban fundamentally prohibits the development of high-performance ASICs, which means that large-scale Transformer models cannot run efficiently on any specified hardware.

Currently, the entire industry is pursuing research on AI technology on existing chips (Nvidia’s GPU/Google’s TPU) and running various Transformer variants.

Another idea is to explore the AI technology concept space that is different from other countries in the world.

After all, the rules of signal transmission in the brain are different from the current software/hardware architecture.

74b7fe4efa5dc2692862ad9001f7bc17.png

New restrictions on wafer fabrication equipment

The new regulations, in addition to restricting the export of chips, also impose restrictions on semiconductor production equipment.

However, the author believes that the impact of changes in the device control list is much smaller than that of AI chips.

c9c0641fd4e4c0a91f146252a6bf06a7.pngMicron D1 Beta is DRAM with the highest shipment volume, It is manufactured using the DUV SAQP process, and D1 Beta is also marked on Changxin Storage’s roadmap

Most of the new additions are consistent with restrictions in Japan and the Netherlands. In short, these tools include:

Epitaxy equipment for silicon germanium, certain dry etching equipment for three-dimensional structures, wet etching for silicon germanium and three-dimensional structures.

Various deposition equipment related to interconnects, cobalt deposition, certain tools for carbon hard masks, certain atomic layer deposition (ALD) equipment for barriers, liners, and tungsten interconnects.

Molybdenum-related deposition tools, mask making tools, EUV pellets and certain DUV lithography tools.

The range of etching tools subject to export controls specified in 2022 is very limited. Under the old regulations, only anisotropic dry etching was included.

Anisotropic means etching in only one direction (sideways), and dry etching means using a plasma etchant to remove material. New regulations now extend the scope of etching to isotropic etching (vertical).

In terms of wet etching, wet etching tools with a “silicon germanium to silicon etch selectivity ratio of at least 100:1” have been added. Specifically, the target process is to remove the sacrificial SiGe layers used to form the GAA transistor nanosheets.

a10c9a3cc4e6d8d64825c6686f534104.pngGAA gate metal stacking process Process: steps ( b) and (g) must be highly selective, that is, only remove SiGe but not Si in the crystal lattice

The biggest new addition is of course the modification of DUV lithography restrictions.

The United Kingdom has followed the Dutch export control measures and added restrictions on the overlay accuracy of the designated carrier (Dedicated Chuck Overlay). The current Dutch export control measures restrict the export of tools with overlay accuracy less than 1.5 nanometers.

Overlay accuracy in photolithography refers to the alignment accuracy between different layers or patterns printed sequentially on a wafer.

Multi-patterning requires good overlay accuracy. TSMC and Intel achieve the 7-nanometer process through overlay accuracy. Restrictions on the Netherlands hinder the export of tools such as the 2000i.

However, the new U.S. regulations go further, restricting the export of tools with overlay accuracy of 2.4nm and below, including ASML’s 1980i – the tool used by TSMC, Intel and SMIC for their 7nm technology.

The United States can limit these tools stacked between 1.5nm and 2.4nm through a 0% minimum threshold, which means that if any part of a tool contains U.S. technology, the tool must meet the requirements of the license.

In general, the United States has incorporated a lot of the content of the previous round of export controls from the Netherlands and Japan into the new regulations introduced this time. Some of the regulations are even word-for-word copies of Japanese regulations…

Related companies: Strong demand in China

The author said that judging from the total consumption of semiconductors by China’s manufacturing industry, China imports more semiconductors than it imports oil.

Just the day after the new regulations were released, ASML stated in an earnings call on October 18 that the new export control measures would greatly restrict the sale of equipment to China. The value of these devices will account for approximately 10-15% of total shipments to China throughout the year.

It is worth noting that 46% of ASML’s revenue in the most recent quarter came from the influx of urgent orders from China. However, after the ban is introduced, 5% – 7% of the total revenue in the quarter will be affected.

d9f2646902677ee461b65d748be4d548.png

ASML CEO Peter Wennink said that China has a large demand for semiconductor production, and this is when they are studying the expansion plans of Chinese customers. It is also where China continues to invest in these areas and increase production capacity.

The situation is similar at Lam Research, the largest etching company.

The company said revenue rebounded 9% sequentially in the September 2023 quarter, in part due to better-than-expected DRAM spending, particularly from domestic customers in China (CXMT).

In addition, Lam Research specifically pointed out that Chinese DRAM offset the weakness in DRAM spending by non-Chinese customers. Revenue from China soared 102% quarter-on-quarter to $1.671 billion (up 9% year-over-year, surpassing the previous high of $1.608 billion in the September 21 quarter).

Lam Research’s management expects sales to China to remain strong through the December 2023 quarter, with sales to China expected to remain similar as a percentage of revenue, with total revenue expected to increase by 6% at the midpoint of the quarter %, reaching US$3.7 billion.

When it comes to the impact of the changes in restrictions in October 2023, Lam Research does not expect to have any material impact on the business, in part because some of their equipment sales to China are already subject to significant restrictions.

9248c38f546c691062f185e6b0de7dd5.png

Looking at the revenue trends of the four major semiconductor companies over the past seven years, combined sales to China have grown from an average of approximately $1.2 billion per quarter in 2016 (accounting for 17% of total revenue) to an average of $5.1 billion per quarter in 2021 (28% of total revenue).

In comparison, 2023 is very different – from a low of $3.7 billion in the first quarter to $5.1 billion in the second quarter (close to the high of $5.8 billion in the second quarter of 2021).

Assuming that Applied Materials and Tokyo Electron have a similar proportion of sales to China in the third quarter as Lam Research and ASML (48% and 46% respectively), the total sales to China in the third quarter will be $8.7 billion, 50% higher than the high in the second quarter of 2021.

f1d8647c0afdef9e759b2d7e0cc6a385.png

End product markets tend to be global and interconnected. Therefore, China’s domestic and foreign semiconductor packaging investment cycles are largely synchronized.

The current downturn in the semiconductor industry (excluding AI-related chips, of course) has lasted for nearly two years.

While industry insiders may believe a rebound is imminent, actual investment has not seen any significant uptick so far.

099be97f10472909494cca358baec333.png

Except in China – where semiconductor-related investment has rebounded significantly, well ahead of the rest of the world.

01f6b96ffc1f89d1e03cd6f1dcbc0e15.png

References:

https://www.reuters.com/technology/nvidia-says-us-speeded-up-new-export-curbs-ai-chips-2023-10-24/

https://www.semianalysis.com/p/wafer-wars-deciphering-latest-restrictions

Click to enter-> [Computer Vision and Transformer] Communication Group

ICCV/CVPR 2023 paper and code download


Backend reply: CVPR2023, you can download the CVPR 2023 papers and code open source paper collection

Backend reply: ICCV2023, you can download the collection of ICCV 2023 papers and code open source papers
Computer Vision and Transformer exchange group established
Scan the QR code below, or add WeChat: CVer444, to add CVer assistant WeChat, and then apply to join the CVer-Computer Vision or Transformer WeChat communication group. In addition, other vertical directions have been covered: target detection, image segmentation, target tracking, face detection & recognition, OCR, pose estimation, super-resolution, SLAM, medical imaging, Re-ID, GAN, NAS, depth estimation, automatic Driving, reinforcement learning, lane detection, model pruning & compression, denoising, fog removal, rain removal, style transfer, remote sensing images, behavior recognition, video understanding, image fusion, image retrieval, paper submission & communication , PyTorch, TensorFlow and Transformer, NeRF, etc.
Be sure to note: Research direction + location + school/company + nickname (such as target detection or Transformer + Shanghai + hand in + Kaka). Note according to the format to get passed and invited to the group faster


▲Scan the QR code or add WeChat ID: CVer444 to join the communication group
CVer Computer Vision (Knowledge Planet) is here! If you want to know about the latest, fastest and best CV/DL/AI paper express delivery, high-quality practical projects, AI industry cutting-edge, and learning tutorials from entry to mastery, please scan the QR code below and join CVer Computer Vision (Knowledge Planet). Nearly ten thousand people have been gathered!

▲Scan the QR code to join Planet Learning

▲Click on the card above to follow the CVer official account

It is not easy to organize, please like and watch6584abd48497cb3eb1b7ed5d26835aa8.gif strong>